Publications of Jie Han

Books and book chapters

https://www.novapublishers.com/catalog/images/9781608762262.jpg Horizons in Computer Science Research, Volume 5 http://www.crcpress.com/coverimage/?isbn=9780849396397&size=medium&flat=true http://www.crcpress.com/coverimage/?isbn=9780849396397&size=medium&flat=true http://www.crcpress.com/coverimage/?isbn=9780849396397&size=medium&flat=true http://www.crcpress.com/coverimage/?isbn=9780849396397&size=medium&flat=true

Xiaotao Jia, You Wang, Zhe Huang, Yue Zhang, Jianlei Yang, Yuanzhuo Qu, Bruce F. Cockburn, Jie Han, Weisheng Zhao, "Spintronic Solutions for Stochastic Computing," a chapter in Warren J. Gross, Vincent C. Gaudet (eds) Stochastic Computing: Techniques and Applications. Springer International Publishing, 2019. (link)

Honglan Jiang, Leibo Liu, Fabrizio Lombardi, Jie Han, "Approximate Arithmetic Circuits: Design and Evaluation," a chapter in Reda S., Shafique M. (eds) Approximate Circuits, 2019, pp 67-98. Springer Cham. (link)

Peican Zhu, Jinghang Liang and Jie Han, "Toward Intracellular Delivery and Drug Discovery: Stochastic Logic Networks as Efficient Computational Models for Gene Regulatory Networks," a chapter in Intracellular Delivery II, Fundamental Biomedical Technologies, Volume 7, 2014, pp 327-359. Springer Netherlands: Dordrecht. (link)

Jie Han and Jose A.B. Fortes, "Reliability Analysis of Computational Structures using Nanotechnology-based Majority Logic," a chapter in Dekker Encyclopedia of Nanoscience and Nanotechnology, Second Edition. Taylor and Francis: New York, Published online: 25 Jun 2012; 1-9. (link)

Jie Han and Hao Chen, "Variation-induced Error Rate (ViER) and Variability-aware Soft Error Rate (VaSER) Analyses for Advanced CMOS Technology," a chapter in Horizons in Computer Science Research, Volume 5, August 2012, Nova Science Publishers. (book)

Jie Han and Pieter Jonker, "Computing with Superconducting Circuits of Josephson Junctions," Chapter 6 in Nanophysics, Nanoclusters and Nanodevices, 2007, Nova Science Publishers, 183-218. ISBN: 1-59454-852-8. Also in Superconductivity and Superconducting Wires (Horizons in World Physics, Volume 267), 2010. ISBN: 978-1-60876-226-2 (book)

Jie Han, Fault-Tolerant Architectures for Nanoelectronic and Quantum Devices, Universal Press, Veenendaal, The Netherlands, 2004. A Ph.D. dissertation of the Delft University of Technology, 1-135. ISBN: 90-9018888-6. (pdf)

Journals (in bold) and Conferences

2024 (Academic year when the paper was accepted or published)

Yongqiang Zhang, Jiao Qin, Jie Han, and Guangjun Xie, "Design of A Stochastic Computing Architecture for The Phansalkar Algorithm," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. x, No. x, pp. x - x, 2024. (pdf)

Hai Mo, Yong Wu, Honglan Jiang, Zining Ma, Fabrizio Lombardi, Jie Han, and Leibo Liu, "Learning the Error Features of Approximate Multipliers for Neural Network Applications," IEEE Transactions on Computers, Vol. xx, No. xx, pp. xxx - xxx, 2024. (pdf)

Shaowei Wang, Guangjun Xie, Wenbing Xu, Yongqiang Zhang, and Jie Han, "A Low-Cost and Fault-Tolerant Stochastic Architecture for the Bernsen Algorithm Using Bitstream Correlation," Journal of Circuits, Systems and Computers, Vol. x, No. x, pp. x-x, 2024. (pdf)

Zijing Niu, Tingting Zhang, Honglan Jiang, Bruce F. Cockburn, Leibo Liu, and Jie Han, "Hardware-Efficient Logarithmic Floating-Point Multipliers for Error-Tolerant Applications," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. x, No. x, pp. xx-xx, 2023. (pdf)

Tingting Zhang, Honglan Jiang, Weiqiang Liu, Fabrizio Lombardi, Leibo Liu, Seok-Bum Ko and Jie Han, "A Survey of Majority Logic Designs in Emerging Nanotechnologies for Computing," IEEE Transactions on Nanotechnology, Vol. 22, pp. 732-739, 2023. (pdf)

Qichao Tao, Tingting Zhang, and Jie Han, "Approximate Parallel Annealing Ising Machines (APAIMs): Controller and Arithmetic Design," the 18th IEEE International Workshop on Cellular Nanoscale Networks and their Applications (CNNA 2023), Xanthi, Greece, 2023, pp. 1-5. (pdf)

Qichao Tao, Tingting Zhang, and Jie Han, "An Approximate Parallel Annealing Ising Machine for Solving Traveling Salesman Problems," IEEE Embedded Systems Letters, Vol. 15, No. 4, pp. 226-229, Dec. 2023. (pdf)

Yongqiang Zhang, Xiaoyue Chen, Jie Han, and Guangjun Xie, "Stochastic Mean Circuits Based on Inner-Product Units Using Correlated Bitstreams," IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. x, No. x, pp. x-x, 2023. (pdf)

Ying Wu, Chuangtao Chen, Weihua Xiao, Xuan Wang, Chenyi Wen, Jie Han, Xunzhao Yin, Weikang Qian, Cheng Zhuo, "A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to Circuits," ACM Transactions on Design Automation of Electronic Systems, Vol. x, No. x, pp. x - x, 2023. (pdf)

Xuemei Fan, Tingting Zhang, Hongwei Liu, Hao Liu, Shengli Lu and Jie Han, "DACA: Dynamic Accuracy-Configurable Adders for Energy-Efficient Multi-Precision Computing," IEEE Transactions on Nanotechnology, Vol. 22, pp. 400-408, 2023. (pdf)

Yongqiang Zhang, Siting Liu, Jie Han, Zhendong Liu, Shaowei Wang, Xin Cheng, and Guangjun Xie, "An Energy-Efficient Binary-Interfaced Stochastic Multiplier Using Parallel Datapaths," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 31, No. 9, pp. 1439 - 1443, 2023. (pdf)

2023

Tingting Zhang and Jie Han, "Quantized Simulated Bifurcation for the Ising Model," IEEE 23rd International Conference on Nanotechnology, Jeju City, Korea, 2023, pp. 1-5, doi: 10.1109/NANO58406.2023.10231157. (pdf)

Pixia Guo, Guangjun Xie, Xiaoyue Chen, Jie Han, and Yongqiang Zhang, "A Variable Latency Ling Adder Based on Brent-Kung Parallel-Prefix Topology," IEEE 23rd International Conference on Nanotechnology, Jeju City, Korea, 2023, pp. 1-5, doi: 10.1109/NANO58406.2023.10231157. (pdf)

Alberto Bosio, Mario Barbareschi, Alessandro Savino, Jie Han, Jürgen Teich, "Special Issue on Approximate Computing: Challenges, Methodologies, Algorithms, and Architectures for Dependable and Secure Systems," IEEE Design & Test, vol. 40, no. 3, pp. 5-7, June 2023, doi: 10.1109/MDAT.2022.3221909. (pdf)

Paul P Sotiriadis, Jie Han, M Hassan Najafi, Josep Lluís Rosselló Sanz, "Guest Editorial: Unconventional Computing Techniques for Emerging Technology Applications," IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 13, No. 1, pp. 1-6, 2023. (pdf)

Tong Li, Hong-Lan Jiang, Hai Mo, Jie Han, Lei-Bo Liu, and Zhi-Gang Mao, "Approximate processing element design and analysis for the implementation of CNN accelerators," JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 38(2): 309-327, Mar. 2023. (pdf)

Xuemei Fan, Tingting Zhang, Hao Liu, Shengli Lu and Jie Han, "A Timing-Aware Configurable Adder Based on Timing Detection for Low-Voltage Computing," IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 13, No. 1, pp. 237-248, 2023. (pdf)

Chengcheng Tang and Jie Han, "Hardware Efficient Weight-Binarized Spiking Neural Networks," Design, Automation and Test in Europe Conference (DATE 2023), Antwerp, Belgium, April 17-19, 2023. (Best Paper Award!) (pdf)

Pengyue Hou, Jie Han, Xingyu Li, "Improving Adversarial Robustness with Self-Paced Hard-Class Pair Reweighting," The 37th AAAI Conference on Artificial Intelligence (AAAI), Washington, DC, USA, February 7-14, 2023. (pdf)

Anqi Jing and Jie Han, "Inference of epigenetic subnetworks by Bayesian regression with the incorporation of prior information," Scientific Reports, 12, 20224; doi: 10.1038/srep23078 (2022). (Open access)

Yongqiang Zhang, Lingyun Xie, Jie Han, Xin Cheng, and Guangjun Xie, "Highly Accurate and Energy Efficient Binary-Stochastic Multipliers for Fault-Tolerant Applications," IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 70, No. 2, pp. 771-775, 2022. (pdf)

Xuemei Fan, Hao Liu, Hongwei Li, Shengli Lu and Jie Han, "Design of Light-Weight Timing Error Detection and Correction Circuits for Energy-Efficient Near-Threshold Voltage Operation," Electronics, Vol. 11, No. 18: 2879, 2022. https://doi.org/10.3390/electronics11182879. (pdf)

Tingting Zhang, Zijing Niu, and Jie Han, "A Brief Review of Logarithmic Multiplier Designs," in The 23rd IEEE Latin-American Test Symposium (LATS), Montevideo, Uruguay, September 5 - 8, 2022. (pdf)

Francisco J. H. Santiago, Honglan Jiang, Hussam Amrouch, Andreas Gerstlauer, Leibo Liu, and Jie Han, "Characterizing Approximate Adders and Multipliers for Mitigating Aging and Temperature Degradations," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 69, No. 11, pp. 4558-4571, November 2022. (pdf)

Wenbing Xu, Guangjun Xie, Shaowei Wang, Zhendong Lin, Jie Han, Yongqiang Zhang, "A stochastic computing architecture for local contrast and mean image thresholding algorithm," International Journal of Circuit Theory and Applications, Vol. 50, No. 9, pp. 3279-3291, 2022. (pdf)

2022

Pengyue Hou, Ming Zhou, Jie Han, Petr Musilek, Xingyu Li, "Adversarial Fine-tune with Dynamically Regulated Adversary," IEEE International Joint Conference on Neural Networks (IJCNN 2022), Padova, Italy, July 18-23, 2022. (pdf)

Qichao Tao and Jie Han, "Solving Traveling Salesman Problems via a Parallel Fully Connected Ising Machine," Design Automation Conference (DAC), San Francisco, California, USA, July 10-14, 2022. (pdf + Presentation)

Feiyu Li, Guangjun Xie, Jie Han, Yongqiang Zhang, "Mean Circuit Design Using Correlated Random Bitstreams in Stochastic Computing," IEEE International Conference on Nanotechnology, Palma de Mallorca, Spain, July 4-8, 2022. (pdf)

Yongqiang Zhang, Lingyun Xie, Jie Han, and Guangjun Xie, "Highly Accurate and Energy Efficient Stochastic Multipliers," IEEE International Conference on Nanotechnology, Palma de Mallorca, Spain, July 4-8, 2022. (pdf)

Yongqiang Zhang, Cong He, Tingting Zhang, Jie Han, Guangjun Xie, "Design and Analysis of a Majority Logic Based Imprecise 6-2 Compressor for Approximate Multipliers," IEEE International Conference on Nanotechnology, Palma de Mallorca, Spain, July 4-8, 2022. (pdf)

Tingting Zhang, Honglan Jiang, Weiqiang Liu, Fabrizio Lombardi, Leibo Liu, and Jie Han, "Majority Logic-based Approximate Recoding Adders for High-radix Booth Multipliers," IEEE International Conference on Nanotechnology, Palma de Mallorca, Spain, July 4-8, 2022. (pdf)

Yong Wu, Honglan Jiang, Zining Ma, Pengfei Gou, Yong Lu, Jie Han, Shouyi Yin, Shaojun Wei, and Leibo Liu, "An Energy-Efficient Approximate Divider Based on Logarithmic Conversion and Piecewise Constant Approximation," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 69, No. 7, pp. 2655-2668, July 2022. (pdf)

Tingting Zhang, Qichao Tao, Bailiang Liu, and Jie Han, "A Review of Simulation Algorithms of Classical Ising Machines for Combinatorial Optimization," in IEEE International Conference on Circuits and Systems (ISCAS), Austin, Texas, USA, May 28 - June 1, 2022. (pdf)

Tingting Zhang, Honglan Jiang, Hai Mo, Weiqiang Liu, Fabrizio Lombardi, Leibo Liu, and Jie Han, "Design of Majority Logic-Based Approximate Booth Multipliers for Error-Tolerant Applications," IEEE Transactions on Nanotechnology, Vol. 21, pp. 81-89, 2022. (pdf)

Shaowei Wang, Guangjun Xie, Xin Cheng, Jie Han and Yongqiang Zhang, "Highly Accurate Division and Square Root Circuits by Exploiting Signal Correlation in Stochastic Computing," International Journal of Circuit Theory and Applications, Vol. 50, No. 4, pp. 1375-1385, 2022. (pdf)

MARIO BARBARESCHI, SALVATORE BARONE, ALBERTO BOSIO, JIE HAN, and MARCELLO TRAIOLA, "A Genetic-Algorithm-Based Approach to the Design of DCT Hardware Accelerators," ACM Journal on Emerging Technologies in Computing Systems (JETC), Vol. 18, No. 3, Article No. 50, pp. 1-25, July 2022. (pdf)

Tingting Zhang and Jie Han, "Efficient Traveling Salesman Problem Solvers using the Ising Model with Simulated Bifurcation," Design, Automation and Test in Europe Conference (DATE 2022), Virtual, Antwerp, Belgium, March 14-23, 2022. (Best Paper Candidate) (pdf)

Yibo Wu, Liang Wang, Xiaohang Wang, Jie Han, Jianfeng Zhu, Honglan Jiang, Shouyi Yin, Shaojun Wei, Leibo Liu, "Upward Packet Popup for Deadlock Freedom in Modular Chiplet-Based Systems," The 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA-28), Seoul, South Korea, April 2-6, 2022. (pdf)

Jie Han and Weiqiang Liu, "Approximate Computing [Guest Editorial]," IEEE Nanotechnology Magazine, vol. 16, no. 1, pp. 10, Feb. 2022, doi:10.1109/MNANO.2021.3126030. (Open Access)

Zhendong Lin, Guangjun Xie, Shaowei Wang, Jie Han and Yongqiang Zhang, "A Review of Deterministic Approaches to Stochastic Computing," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'21), Virtual, Canada, November 8-10, 2021. (pdf)

Yuancheng Zhou, Guangjun Xie, Jie Han and Yongqiang Zhang, "Absolute Subtraction and Division Circuits Using Uncorrelated Random Bitstreams in Stochastic Computing," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'21), Virtual, Canada, November 8-10, 2021. (pdf)

Tingting Zhang, Qichao Tao, and Jie Han, "Solving Traveling Salesman Problems Using Ising Models with Simulated Bifurcation," The 19th International SoC Conference (ISOCC 2022), Virtual, Korea, October 19-21, 2021. (pdf)

Chengcheng Tang and Jie Han, "Design and Implementation of a Highly Accurate Stochastic Spiking Neural Network," in SiPS 2021, Proceedings of the International Workshop on Signal Processing Systems, Coimbra, Portugal, 19–21 October 2021. (pdf)

Zhendong Lin, Guangjun Xie, Wenbing Xu, Jie Han and Yongqiang Zhang, "Accelerating Stochastic Computing Using Deterministic Halton Sequences," IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 68, No. 10, pp. 3351-3355, 2021. (pdf)

Yibo Wu, Liang Wang, Xiaohang Wang, Jie Han, Shouyi Yin, Shaojun Wei, and Leibo Liu, "A Deflection-Based Deadlock Recovery Framework to Achieve High Throughput for Faulty NoCs." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 40, No. 10, pp. 2170 - 2183, 2021. (pdf)

Haroon Waris, Chenghua Wang, Weiqiang Liu, Jie Han, and Fabrizio Lombardi, "Hybrid Partial Product-based High-Performance Approximate Recursive Multipliers," IEEE Transactions on Emerging Topics in Computing, Vol. 10, No. 1. pp. 507-513, 2022. (pdf)

Mohammad Saeed Ansari, Bruce F. Cockburn, and Jie Han, "Low-Power Approximate Logarithmic Squaring Circuit Design for DSP Applications," IEEE Transactions on Emerging Topics in Computing, Vol. 10, No. 1. pp. 500-506, 2022. (pdf)

Shanshan Liu, Pedro Reviriego, Jing Guo, Jie Han, and Fabrizio Lombardi, "Exploiting Asymmetry in eDRAM Errors for Redundancy-Free Error-Tolerant Design," IEEE Transactions on Emerging Topics in Computing, Vol. 9, No. 4, pp. 2064 - 2075, 2021. (pdf)

2021

Zijing Niu, Honglan Jiang, Mohammad Saeed Ansari, Bruce F. Cockburn, Leibo Liu, and Jie Han, "A Logarithmic Floating-Point Multiplier for the Efficient Training of Neural Networks," in GLSVLSI'21, Proceedings of the 31st IEEE/ACM Great Lakes Symposium on VLSI, Virtual, 2021. (pdf)

Honglan Jiang, Shaahin Angizi, Deliang Fan, Jie Han, and Leibo Liu, "Non-Volatile Approximate Arithmetic Circuits using Scalable Hybrid Spin-CMOS Majority Gates," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 68, No. 3, pp. 1217 - 1230, March 2021. (pdf)

Tian Yuan, Weiqiang Liu, Jie Han, and Fabrizio Lombardi, "High Performance CNN Accelerators based on Hardware and Algorithm Co-Optimization," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 68, No. 1, pp. 250 - 263, Jan. 2021. (pdf)

Mohammad Saeed Ansari, Shyama Gandhi, Bruce F. Cockburn, Jie Han, "Fast and Low-Power Leading-One Detectors for Energy-Efficient Logarithmic Computing," IET Computers and Digital Techniques, vol. 15, no. 4, pp. 241 - 250, 2021. (pdf)

Yuying Zhu, Weiqiang Liu, Peipei Yin, Tian Cao, Jie Han, and Fabrizio Lombardi, "Design, Evaluation and Application of Approximate-Truncated Booth Multipliers," IET Circuits, Devices & Systems, vol. 14, no. 8, pp. 1305 - 1317, 2020. (pdf)

Yibo Wu, Leibo Liu, Liang Wang, Xiaohang Wang, Jie Han, Chenchen Deng, and Shaojun Wei, "Aggressive Fine-Grained Power Gating of NoC Buffers." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 39, No. 11, pp. 3177 - 3189, 2020. (pdf)

Yidong Liu, Siting Liu, Yanzhi Wang, Fabrizio Lombardi and Jie Han, "A Survey of Stochastic Computing Neural Networks for Machine Learning Applications," IEEE Transactions on Neural Networks and Learning Systems, vol. 32, no. 7, pp. 2809 - 2824, 2021. (pdf)

Honglan Jiang, Francisco J. H. Santiago, Hai Mo, Leibo Liu, and Jie Han, "Approximate Arithmetic Circuits: A Survey, Characterization and Recent Applications," Proceedings of the IEEE, Vol. 108, No. 12, pp. 2108 - 2135, 2020. (pdf)

Siting Liu, Warren J. Gross, and Jie Han, "Introduction to Dynamic Stochastic Computing," IEEE Circuits and Systems Magazine, Vol. 20, No. 3, pp. 19 - 33, 2020. (pdf)

Ke Chen, Weiqiang Liu, Jie Han, and Fabrizio Lombardi, "Profile-based Output Error Compensation for Approximate Arithmetic Circuits," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 67, No. 12, pp. 4707 - 4718, 2020. (pdf)

Mohammad Saeed Ansari, Bruce F. Cockburn, and Jie Han, "An Improved Logarithmic Multiplier for Energy-Efficient Neural Computing," IEEE Transactions on Computers, Vol. 70, No. 4. pp. 614 - 625, April 2021. (pdf)

2020

Liang Wang, Leibo Liu, Xiaohang Wang, Jie Han, Chenchen Deng, and Shaojun Wei, "CDRing: Reconfigurable Ring Architecture by Exploiting Cycle Decomposition of Torus Topology," Design Automation Conference (DAC), 2020. (pdf)

Yongqiang Zhang, Guangjun Xie and Jie Han, "A robust wire crossing design for thermostability and fault tolerance in quantum-dot cellular automata," Microprocessors and Microsystems, Vol. 74, 103033, April 2020. (pdf)

Morgan Ledwon, Bruce Cockburn, and Jie Han, "High-Throughput FPGA-Based Hardware Accelerators for Deflate Compression and Decompression Using High-Level Synthesis," IEEE Access, Vol. 8, pp. 62207 - 62217, March 2020. (Open Access)

Siting Liu and Jie Han, "Dynamic Stochastic Computing for Digital Signal Processing Applications," Design, Automation & Test in Europe Conference (DATE), 2020. (pdf)

Leibo Liu, Jianfeng Zhu, Zhaoshi Li, Yanan Lu, Yangdong Deng, Jie Han, Shouyi Yin, and Shaojun Wei, "A Survey of Coarse-Grained Reconfigurable Architectureand Design: Taxonomy, Challenges, and Applications," ACM Computing Surveys, Vol. 52, No. 6, Article 118, October 2019. (Open Access)

Yongqiang Zhang, Guangjun Xie and Jie Han, "Serial Concatenated Convolutional Code Encoder in Quantum-dot Cellular Automata," Nano Communication Networks, Vol. 22, 100268, 2019. (pdf)

Mohammad Saeed Ansari, Vojtech Mrazek, Bruce F. Cockburn, Lukas Sekanina, Zdenek Vasicek, and Jie Han, "Improving the Accuracy and Hardware Efficiency of Neural Networks Using Approximate Multipliers," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 28, No. 2, pp. 317 - 328, 2020. (pdf)

Liang Wang, Leibo Liu, Jie Han, Xiaohang Wang, Shouyi Yin, and Shaojun Wei, "Achieving Flexible Global Reconfiguration in NoCs using Reconfigurable Rings," IEEE Transactions on Parallel and Distributed Systems, Vol. 31, No. 3, pp. 611 - 622, March 2020. (pdf)

Honglan Jiang, Leibo Liu, Fabrizio Lombardi and Jie Han, "Low-Power Unsigned Divider and Square Root Circuit Designs Using Adaptive Approximation," IEEE Transactions on Computers, Vol. 68, No. 11, pp. 1635 - 1646, 2019. (pdf)

Sanbao Su, Chen Zou, Weijiang Kong, Jie Han, and Weikang Qian. "A Novel Heuristic Search Method for Two-level Approximate Logic Synthesis." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 39, No. 3, pp. 654 - 669, March 2020. (pdf)

2019

Tingting Zhang, Weiqiang Liu, Jie Han and Fabrizio Lombardi, "Design and Analysis of Majority Logic Based Approximate Radix-4 Booth Encoders," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'19), 2019.

Hao Cai, Honglan Jiang, Menglin Han, Zhaohao Wang, You Wang, Jun Yang, Jie Han, Leibo Liu and Weisheng Zhao, "Pj-AxMTJ: Process-in-memory with Joint Magnetization Switching for Approximate Computing in Magnetic Tunnel Junction," IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Miami, FL, USA, pp. 111-115, 2019.

Yidong Liu, Leibo Liu, Fabrizio Lombardi and Jie Han, "An Energy-Efficient and Noise-Tolerant Recurrent Neural Network using Stochastic Computing," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 27, No. 9, pp. 2213 - 2221, 2019. (pdf)

Ruizhe Cai, Ao Ren, Olivia Chen, Ning Liu, Caiwen Ding, Xuehai Qian, Jie Han, Wenhui Luo, Nobuyuki Yoshikawa, and Yanzhi Wang, "A Stochastic-Computing based Deep Learning Framework using Adiabatic Quantum-Flux-Parametron Superconducting Technology," in Proceedings of the 46th International Symposium on Computer Architecture (ISCA), Phoenix, Arizona, USA, June 22-26, 2019. (pdf)

Honglan Jiang, Francisco J. H. Santiago, Mohammad Saeed Ansari, Leibo Liu, Bruce F. Cockburn, Fabrizio Lombardi and Jie Han, "Characterizing Approximate Adders and Multipliers Optimized under Different Design Constraints," in GLSVLSI'19, Proceedings of the 29th IEEE/ACM Great Lakes Symposium on VLSI, Washington DC, USA, 2019. (pdf)

Shyama Gandhi, Mohammad Saeed Ansari, Bruce Cockburn and Jie Han, "Approximate Leading One Detector Design for a Hardware-Efficient Mitchell Multiplier," in Proc. IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Edmonton, AB, Canada, May 5 - 8, 2019. (pdf)

Morgan Ledwon, Bruce Cockburn and Jie Han, "Design and Evaluation of an FPGA-based Hardware Accelerator for Deflate Data Decompression," in Proc. IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Edmonton, AB, Canada, May 5 - 8, 2019. (pdf)

Mohammad Saeed Ansari, Bruce F. Cockburn and Jie Han, "A Hardware-Efficient Logarithmic Multiplier with Improved Accuracy," Design, Automation & Test in Europe Conference (DATE 2019), Florence, Italy, March 25-29, 2019. (pdf)

Anqi Jing, Frederick S. Vizeacoumar, Sreejit Parameswaran, Bjorn Haave, Chelsea E. Cunningham, Yuliang Wu, Roland Arnold, Keith Bonham, Andrew Freywald, Jie Han & Franco J. Vizeacoumar, "Expression-based analyses indicate a central role for hypoxia in driving tumor plasticity through microenvironment remodeling and chromosomal instability," npj Systems Biology and Applications, Volume 4, Article number: 38 (2018). (Open Access)

Yuanzhuo Qu, Bruce F. Cockburn, Zhe Huang, Hao Cai, Yue Zhang, Weisheng Zhao, and Jie Han. "Variation-resilient True Random Number Generators based on Multiple STT-MTJs." IEEE Transactions on Nanotechnology, Vol. 17, No. 6, pp. 1270 - 1281, 2018. (pdf)

Liang Wang, Ping Lv, Leibo Liu, Jie Han, Ho-fung Leung, Xiaohang Wang, Shouyi Yin, Shaojun Wei, and Terrence Mak. "A Lifetime Reliability-Constrained Runtime Mapping for Throughput Optimization in Many-Core Systems." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 38, No. 9, pp. 1771 - 1784, 2019. (pdf)

Honglan Jiang, Leibo Liu, Pieter P. Jonker, Duncan G. Elliott, Fabrizio Lombardi, and Jie Han. "A High-Performance and Energy-Efficient FIR Adaptive Filter Using Approximate Distributed Arithmetic Circuits." IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 66, No. 1, pp. 313-326, January 2019. (pdf)

Honglan Jiang, Cong Liu, Fabrizio Lombardi, and Jie Han. "Low-Power Approximate Unsigned Multipliers With Configurable Error Recovery." IEEE Transactions on Circuits and Systems I: Regular Papers Vol. 66, No. 1, pp. 189-202, January 2019. (pdf)

Siting Liu, Honglan Jiang, Leibo Liu, and Jie Han. "Gradient Descent Using Stochastic Circuits for Efficient Training of Learning Machines." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 37, No. 11, pp. 2530 - 2541, 2018. (pdf)

Vojtech Mrazek, Zdenek Vasicek, Lukas Sekanina, Honglan Jiang, and Jie Han. "Scalable Construction of Approximate Multipliers With Formally Guaranteed Worst Case Error." IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 26, No. 11, pp. 2572 - 2576, 2018. (pdf)

Yidong Liu, Yanzhi Wang, Fabrizio Lombardi, and Jie Han. "An Energy-Efficient Online-Learning Stochastic Computational Deep Belief Network." IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 8, No. 3, pp. 454-465, September 2018. (pdf)

Mohammad Saeed Ansari, Honglan Jiang, Bruce F. Cockburn, and Jie Han. "Low-Power Approximate Multipliers Using Encoded Partial Products and Approximate Compressors." IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 8, No. 3, pp. 404-416, September 2018. (pdf)

Yidong Liu, Siting Liu, Yanzhi Wang, Fabrizio Lombardi and Jie Han, "A Stochastic Computational Multi-Layer Perceptron with Backward Propagation," IEEE Transactions on Computers, vol. 67, no. 9, pp. 1273 - 1286, 2018. (pdf)

Linbin Chen, Jie Han, Weiqiang Liu, Paolo Montuschi, and Fabrizio Lombardi, "Design, Evaluation and Application of Approximate High-Radix Dividers," IEEE Transactions on Multi-Scale Computing Systems, vol. 4, no. 3, pp. 299 - 312, 2018. (pdf)

Xuedi Wang, Zhe Chen, Kaige Jia, Jie Han, Qi Wei, Fei Qiao and Huazhong Yang, "Approximate On-chip Memory Optimization Method For Deep Residual Networks," IEEE 23rd International Conference on Digital Signal Processing (DSP), Shanghai, China, pp. 1-5, 2018.

Jie Han, "Approximate Arithmetic Circuits and Their Applications," 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Torino, Italy, 2018. (Abstract for an invited talk)

2018

Yuying Zhu, Weiqiang Liu, Jie Han and Fabrizio Lombardi, "A Probabilistic Error Model and Framework for Approximate Booth Multipliers," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'18), 2018.

Shaahin Angizi, Honglan Jiang, Ronald F. DeMara, Jie Han, and Deliang Fan, "Majority-Based Spin-CMOS Primitives for Approximate Computing," IEEE Transactions on Nanotechnology, Vol. 17, No. 4, pp. 795-806, 2018. (pdf)

Peican Zhu, Xiaogang Song, Leibo Liu, Zhen Wang, and Jie Han, "Stochastic Analysis of Multiplex Boolean Networks for Understanding Epidemic Propagation," IEEE Access, Vol. 6, pp. 35292-35304, 2018. (Open Access)

Shaahin Angizi, Zhezhi He, Yu Bai, Jie Han, Mingjie Lin, Ronald F. DeMara and Deliang Fan, "Leveraging Spintronic Devices for Efficient Approximate Logic and Stochastic Neural Networks," in GLSVLSI'18, Proceedings of the 28th IEEE/ACM Great Lakes Symposium on VLSI, Chicago, IL, USA, 2018. (pdf)

Ke Chen, Jie Han, Paolo Montuschi, Weiqiang Liu, and Fabrizio Lombardi, "Design and Application of an Approximate 2-D Convolver with Error Compensation," in IEEE International Conference on Circuits and Systems (ISCAS 2018), 2018. (pdf)

Yan Li, Yufeng Li, Jie Han, Jianhao Hu, Fan Yang, Xuan Zeng, Bruce Cockburn, and Jie Chen, "Feedback-Based Low-Power Soft-Error-Tolerant Design for Dual-Modular Redundancy," IEEE Transactions on VLSI Systems, vol. 26, no. 8, pp. 1585 - 1589, 2018. (pdf)

Siting Liu and Jie Han, "Toward Energy-Efficient Stochastic Circuits using Parallel Sobol Sequences," IEEE Transactions on VLSI Systems, vol. 26, no. 7, pp. 1326 - 1339, 2018. (pdf)

Honglan Jiang, Leibo Liu, Fabrizio Lombardi and Jie Han, "Adaptive Approximation in Arithmetic Circuits: A Low-Power Unsigned Divider Design," Design, Automation & Test in Europe Conference (DATE 2018), Dresten, Germany, March 19-23, 2018. (pdf)

Yidong Liu, Yanzhi Wang, Fabrizio Lombardi and Jie Han, "An Energy-Efficient Stochastic Computational Deep Belief Network," Design, Automation & Test in Europe Conference (DATE 2018), Dresten, Germany, March 19-23, 2018. (pdf + complementary poster)

Xiaolong Ma, Yipeng Zhang, Geng Yuan, Ao Ren, Zhe Li, Jie Han, Jingtong Hu and Yanzhi Wang, "An Area and Energy Efficient Design of Domain-Wall Memory-Based Deep Convolutional Neural Networks using Stochastic Computing," the 19th International Symposium on Quality Electronic Design (ISQED 2018), March 13-14, 2018. (Best Paper Nomination) (pdf)

Honglan Jiang, Leibo Liu and Jie Han, "An efficient hardware design for cerebellar models using approximate circuits," in Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, Seoul, South Korea, 2017. (pdf)

Xiaogang Song, Zhengjun Zhai, Yidong Liu and Jie Han, "A stochastic approach for the reliability evaluation of multi-state systems with dependent components," Reliability Engineering and System Safety, vol. 170, no. 5, pp. 257-266, February 2018. (pdf)

Xiaogang Song, Zhengjun Zhai, Yangming Guo, Peican Zhu and Jie Han, "Approximate Analysis of Multi-State Weighted k-Out-of-n Systems Applied to Transmission Lines," Energies, 10 (11), 1740, 2017. (Open access)

2017

Michael Shoniker, Oleg Oleynikov, Bruce F. Cockburn, Jie Han, Manish Rana and Witold Pedrycz, "Automatic Selection of Process Corner Simulations for Faster Design Verification," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 6, pp. 1312 - 1316, 2018. (pdf)

Ke Chen, Fabrizio Lombardi and Jie Han, "Partially Universal Modules for High Performance Logic Circuit Design," IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 108-111, 2017.

Xiaogang Song, Zhengjun Zhai, Peican Zhu and Jie Han, "A Stochastic Computational Approach for the Analysis of Fuzzy Systems," IEEE Access, 5, pp. 13465-13477, 2017. (Open Access)

Peican Zhu, Yangming Guo, Fabrizio Lombardi and Jie Han, "Approximate Reliability of Multi-state Two-Terminal Networks by Stochastic Analysis," IET Networks, vol. 6, no. 5, pp. 116-124, September 2017. (pdf)

Peican Zhu, Yangming Guo, Shubin Si and Jie Han, "A Stochastic Analysis of Competing Failures with Propagation Effects in Functional Dependence Gates," IISE TRANSACTIONS, vol. 49, no. 11, pp. 1050 - 1064, 2017. (Open Access)

Linbin Chen, Jie Han, Weiqiang Liu, Fabrizio Lombardi, "Design and operational assessment of an intra-cell hybrid L2 cache," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'17), 2017.

Linbin Chen, Jie Han, Weiqiang Liu, and Fabrizio Lombardi, "Algorithm and Design of a Fully Parallel Approximate Coordinate Rotation Digital Computer (CORDIC)," IEEE Transactions on Multi-Scale Computing Systems, vol. 3, no. 3, pp. 139 - 151, 2017. (pdf)

Honglan Jiang, Cong Liu, Leibo Liu, Fabrizio Lombardi and Jie Han, "A Review, Classification and Comparative Evaluation of Approximate Arithmetic Circuits," ACM Journal on Emerging Technologies in Computing Systems (JETC), Vol. 13, No. 4, Article No. 60, 2017. (pdf)

Weiqiang Liu, Liangyu Qian, Chenghua Wang, Honglan Jiang, Jie Han, and Fabrizio Lombardi, "Design of Approximate Radix-4 Booth Multipliers for Error-Tolerant Computing," IEEE Transactions on Computers, vol. 66, no. 8, pp. 1435 - 1441, 2017. (pdf)

Siting Liu and Jie Han, "Hardware ODE Solvers using Stochastic Circuits," Design Automation Conference (DAC), Ariticle No. 81, 2017. (pdf)

Yuanchang Chen, Yizhe Zhu, Fei Qiao, Jie Han, Yuansheng Liu and Huazhong Yang, "Evaluating Data Resilience in CNNs from an Approximate Memory Perspective," in GLSVLSI'17, Proceedings of the 27th IEEE/ACM Great Lakes Symposium on VLSI, Banff, Alberta, Canada, 2017. (pdf)

Linbin Chen, Paolo Montuschi, Jie Han, Weiqiang Liu and Fabrizio Lombardi, "Design of Approximate High-Radix Dividers by Inexact Binary Signed-Digit Addition," in GLSVLSI'17, Proceedings of the 27th IEEE/ACM Great Lakes Symposium on VLSI, Banff, Alberta, Canada, 2017. (pdf)

Ke Chen, Jie Han and Fabrizio Lombardi, "Two Approximate Voting Schemes for Reliable Computing," IEEE Transactions on Computers, vol. 66, no. 7, pp. 1227 - 1239, 2017. (pdf)

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "Design and Comparative Evaluation of a PCM-based CAM (Content Addressable Memory) Cell," IEEE Transactions on Nanotechnology, vol. 16, no. 2, pp. 359 - 363, 2017. (pdf)

Siting Liu and Jie Han, "Energy Efficient Stochastic Computing with Sobol Sequences," Design, Automation & Test in Europe Conference (DATE), 2017. (pdf)

Yuanzhuo Qu, Jie Han, Bruce F. Cockburn, Yue Zhang, Weisheng Zhao and Witold Pedrycz, "A True Random Number Generator based on Parallel STT-MTJs," Design, Automation & Test in Europe Conference (DATE), 2017. (pdf)

Manish Rana, Ramon Canal, Jie Han and Bruce Cockburn, "SRAM Memory Margin Probability Failure Estimation using Gaussian Process Regression," IEEE International Conference on Computer Design (ICCD 2016), Phoenix, AZ, USA, October 3-5, 2016. (pdf)

Ke Chen, Fabrizio Lombardi and Jie Han, "Design and Analysis of an Approximate 2D Convolver," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2016), Sept. 19-20, 2016, University of Connecticut, USA. (pdf)

Chen Wu, Chenchen Deng, Leibo Liu, Jie Han, Jiqiang Chen, Shouyi Yin, and Shaojun Wei, "A Multi-Objective Model Oriented Mapping Approach for NoC-based Computing Systems," IEEE Transactions on Parallel and Distributed Systems, vol. 28, no. 3, pp. 662 - 676, 2017. (pdf)

Pilin Junsangsri, Fabrizio Lombardi and Jie Han, "A Non-Volatile Low-Power CAM Using Racetrack Memories," in 2016 IEEE Nanotechnology Materials and Devices Conference (NMDC), Toulouse, France, October 9-12th, 2016.

Ran Wang, Jie Han, Bruce Cockburn and Duncan Elliott, "Stochastic Circuit Design and Performance Evaluation of Vector Quantization for Different Error Measures," IEEE Transactions on VLSI Systems, vol. 24, no. 10, pp. 3169 - 3183, 2016. (pdf)

Peican Zhu, Jie Han, Yangming Guo and Fabrizio Lombardi, "Reliability and Criticality Analysis of Communication Networks by Stochastic Computation," IEEE Network, vol. 30, no. 6, pp. 70 - 76, 2016. (pdf)

2016

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "A Non-Volatile Low-Power TCAM Design Using Racetrack Memories," IEEE International Conference on Nanotechnology, Sendai, Japan, August 22-25, 2016.

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "Designs of PMC-Based Non-Volatile Memory Circuits for Data Restoring," IEEE International Conference on Nanotechnology, Sendai, Japan, August 22-25, 2016.

Honglan Jiang, Cong Liu, Naman Maheshwari, Fabrizio Lombardi and Jie Han, "A Comparative Evaluation of Approximate Multipliers," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'16), Beijing, China, July 18-20, 2016. (Best Paper Nomination) (pdf)

Linbin Chen, Fabrizio Lombardi, Jie Han and Weiqiang Liu, "A Fully Parallel Approximate CORDIC Design," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'16), Beijing, China, July 18-20, 2016. (pdf)

Honglan Jiang, Chengkun Shen, Pieter Jonker, Fabrizio Lombardi and Jie Han, "Adaptive Filter Design using Stochastic Circuits," in Proc. IEEE Symposium on VLSI (ISVLSI), Pittsburgh, Pennsylvania, USA, July 11-13, 2016. (pdf)

Yuanchang Chen, Xinghua Yang, Fei Qiao, Jie Han, Qi Wei and Huazhong Yang, "A Multi-Accuracy-Level Approximate Memory Architecture Based on Data Significance Analysis," in Proc. IEEE Symposium on VLSI (ISVLSI), Pittsburgh, Pennsylvania, USA, July 11-13, 2016. (pdf)

Jie Han, "Introduction to Approximate Computing," in Proc. IEEE VLSI Test Symposium (VTS), Las Vegas, NV, USA, April 25 - 27, 2016. (pdf)

Peican Zhu, Hamidreza Montazeri Aliabadi, Hasan Uludag, and Jie Han, "Identification of Potential Drug Targets in Cancer Signaling Pathways using Stochastic Logical Models," Scientific Reports, 6, 23078; doi: 10.1038/srep23078 (2016). (Open access)

Mohammad Saeed Ansari, Ali Mahani, Jie Han and Bruce Cockburn, "A Novel Gate Grading Approach for Soft Error Tolerance in Combinational Circuits," in Proc. IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Vancouver, BC, Canada, May 15 - 18, 2016. (pdf)

Peican Zhu, Jie Han, Leibo Liu and Fabrizio Lombardi, "Reliability Evaluation of Phased-Mission Systems Using Stochastic Computation," IEEE Transactions on Reliability, vol. 65, no. 3, pp. 1612 - 1623, 2016. (pdf)

Salin Junsangsri, Jie Han and Fabrizio Lombardi, "A Design of a Non-Volatile PMC-Based (Programmable Metallization Cell) Register File," in GLSVLSI'16, Proceedings of the 26th IEEE/ACM Great Lakes Symposium on VLSI, Boston, MA, USA, 2016. (pdf)

Liangyu Qian, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi and Jie Han, "Design and Evaluation of an Approximate Wallace-Booth Multiplier," in IEEE International Conference on Circuits and Systems (ISCAS 2016), Montreal, Quebec, Canada, May 22 - 25, 2016. (pdf)

Ran Wang, Jie Han, Bruce Cockburn and Duncan Elliott, "Design, Evaluation and Fault-Tolerance Analysis of Stochastic FIR Filters," Microelectronics Reliability, vol. 57, no. 2, pp. 111 - 127, 2016. (pdf)

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "Circuits for a Perpendicular Magnetic Anisotropic (PMA) Racetrack Memory," IEEE Transactions on Multi-Scale Computing Systems, vol. 1, no. 3, pp. 127 - 137, 2015. (pdf)

Jie Han, "Computing: Naturally random," Nature Nanotechnology (2015) | doi:10.1038/nnano.2015.215. (Invited) (pdf)

Linbin Chen, Jie Han, Weiqiang Liu and Fabrizio Lombardi, "On the Design of Approximate Restoring Dividers for Error-Tolerant Applications," IEEE Transactions on Computers, vol. 65, no. 8, pp. 2522 - 2533, 2016. (pdf)

Honglan Jiang, Jie Han, Fei Qiao and Fabrizio Lombardi, "Approximate Radix-8 Booth Multipliers for Low-Power and High-Performance Operation," IEEE Transactions on Computers, vol. 65, no. 8, pp. 2638 - 2644, 2016. (pdf)

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "Design of a Hybrid Non-Volatile SRAM Cell for Concurrent SEU Detection and Correction," Integration, the VLSI Journal, vol. 52, pp. 156-167, January 2016. (pdf)

Chen Zou, Weikang Qian and Jie Han, "DPALS: A Dynamic Programming-based Algorithm for Two-level Approximate Logic Synthesis," in The 11th International Conference on ASIC (ASICON 2015), Chengdu, China, 2015. (pdf)

Zhixi Yang, Jie Han and Fabrizio Lombardi, "Approximate Compressors for Error-Resilient Multiplier Design," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2015), Amherst, MA, USA, 2015. (pdf)

Salin Junsangsri, Fabrizio Lombardi and Jie Han, "Evaluating the Impact of Spike and Flicker Noise in Phase Change Memories," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2015), Amherst, MA, USA, 2015. (pdf)

Pilin Junsangsri, Fabrizio Lombardi and Jie Han, "HSPICE Macromodel of a PMA Racetrack Memory," in 2015 IEEE Nanotechnology Materials and Devices Conference (NMDC), Anchorage, Alaska, USA, September 13-16th, 2015. (pdf)

2015

Ran Wang, Jie Han, Bruce Cockburn and Duncan Elliott, "Design and Evaluation of Stochastic FIR Filters," in Proc. 2015 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing, Victoria, BC, Canada, August 24 - 26, 2015. (pdf)

Ran Wang, Jie Han, Bruce Cockburn and Duncan Elliott, "Stochastic Circuit Design and Performance Evaluation of Vector Quantization," in Proc. IEEE ASAP 2015, IEEE 26th International Conference on Application-specific Systems, Architectures and Processors, Toronto, Canada, July 27 - 29, 2015. (pdf)

Zhixi Yang, Jie Han and Fabrizio Lombardi, "Transmission Gate-based Approximate Adders for Inexact Computing," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'15), Boston, MA, U.S.A., July 8-10, 2015. (Best Paper Award) (pdf)

Ke Chen, Fabrizio Lombardi and Jie Han, "Matrix Multiplication by an Inexact Systolic Array," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'15), Boston, MA, U.S.A., July 8-10, 2015. (pdf)

Chen Wu, Chenchen Deng, Leibo Liu, Jie Han, Jiqiang Chen, Shouyi Yin, and Shaojun Wei, "An Efficient Application Mapping Approach for the Co-Optimization of Reliability, Energy and Performance in Reconfigurable NoC Architectures," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 8, pp. 1264 - 1277, 2015. (pdf)

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "Logic-in-Memory (LiM) with a Non-Volatile Programmable Metallization Cell (PMC)," IEEE Transactions on VLSI Systems, vol. 24, no. 2, pp. 521 - 529, 2016. (pdf)

Honglan Jiang, Jie Han and Fabrizio Lombardi, "A Comparative Review and Evaluation of Approximate Adders," in GLSVLSI'15, Proceedings of the 25th IEEE/ACM Great Lakes Symposium on VLSI, Pittsburgh, PA, USA, 2015. (pdf)

Linbin Chen, Jie Han, Weiqiang Liu and Fabrizio Lombardi, "Design of Approximate Unsigned Integer Non-restoring Divider for Inexact Computing," in GLSVLSI'15, Proceedings of the 25th IEEE/ACM Great Lakes Symposium on VLSI, Pittsburgh, PA, USA, 2015. (Best Paper Nomination - Top 4/148!) (pdf)

Pilin Junsangsri, Fabrizio Lombardi and Jie Han, "A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM)," in GLSVLSI'15, Proceedings of the 25th IEEE/ACM Great Lakes Symposium on VLSI, Pittsburgh, PA, USA, 2015. (pdf)

Chen Wu, Chenchen Deng, Leibo Liu, Shouyi Yin, Jie Han, and Shaojun Wei, "Reliability-aware mapping for various NoC topologies and routing algorithms under performance constraints," Science China Information Sciences, Vol. 58, 082401:1-082401:14, August 2015.

Ke Chen, Jie Han and Fabrizio Lombardi, "On the Restore Operation in MTJ-Based Non Volatile SRAM Cells," IEEE Transactions on VLSI Systems, vol. 23, no. 11, pp. 2695 - 2699, 2015. (pdf)

Michael Shoniker, Bruce F. Cockburn, Jie Han and Witold Pedrycz, "Minimizing the Number of Process Corner Simulations during Design Verification," Design, Automation & Test in Europe Conference (DATE 2015), Grenoble, France, March 9 - 13, 2015. (pdf)

Ke Chen, Fabrizio Lombardi and Jie Han, "An Approximate Voting Scheme for Reliable Computing," Design, Automation & Test in Europe Conference (DATE 2015), Grenoble, France, March 9 - 13, 2015. (pdf)

Leibo Liu, Chen Wu, Chenchen Deng, Shouyi Yin, Qinghua Wu, Jie Han, and Shaojun Wei, "A Flexible Energy- and Reliability-Aware Application Mapping for NoC-based Reconfigurable Architectures," IEEE Transactions on VLSI Systems, vol. 23, no. 11, pp. 2566 - 2580, 2015. (pdf)

Yu Ren, Leibo Liu, Shouyi Yin, Jie Han, and Shaojun Wei, "Efficient Fault-Tolerant Topology Reconfiguration Using a Maximum Flow Algorithm," ACM Transactions on Reconfigurable Technology and Systems, Vol. 8, No. 3, May 2015. Article No. 19. (pdf)

Naman Maheshwari, Zhixi Yang, Jie Han, and Fabrizio Lombardi, "A Design Approach for Compressor Based Approximate Multipliers," in International Conference on VLSI Design and Embedded Systems (VLSID 2015), Bangalore, India, January 3 - 7, 2015. (pdf)

Peican Zhu, Jie Han, Leibo Liu and Fabrizio Lombardi, "A Stochastic Approach for the Analysis of Dynamic Fault Trees with Spare Gates under Probabilistic Common Cause Failures," IEEE Transactions on Reliability, vol. 64, no. 3, pp. 878 - 892, 2015. (pdf)

Leibo Liu, Yu Ren, Chenchen Deng, Shouyi Yin, Shaojun Wei, and Jie Han, "A Novel Approach Using a Minimum Cost Maximum Flow Algorithm for Fault-Tolerant Topology Reconfiguration in NoC Architectures," in the 20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), Chiba/Tokyo, Japan, 2015. (pdf)

2014

Jie Han, Eugene Leung, Leibo Liu and Fabrizio Lombardi, "A Fault-Tolerant Technique using Quadded Logic and Quadded Transistors," IEEE Transactions on VLSI Systems, vol. 23, no. 8, pp. 1562 - 1566, 2015. (pdf)

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "A System-level Scheme for Resistance Drift Tolerance of a Multilevel Phase Change Memory," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2014), Amsterdam, The Netherlands, 2014. (pdf)

Linbin Chen, Fabrizio Lombardi and Jie Han, "FDSOI SRAM Cells for Low Power Design at 22nm Technology Node," IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), College Station, Texas, USA, August 3-6, 2014. pp. 527-530. (pdf)

Linbin Chen, Fabrizio Lombardi and Jie Han, "An Enhanced HSPICE Macromodel of a PCM Cell with Threshold Switching and Recovery Behavior," IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), College Station, Texas, USA, August 3-6, 2014. pp. 993-996. (pdf)

Pilin Junsangsri, Fabrizio Lombardi and Jie Han, "A Memristor-based TCAM (Ternary Content Addressable Memory) Cell," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'14), Paris, France, July 8-10, 2014. pp. 1-6. (pdf)

Pilin Junsangsri, Fabrizio Lombardi and Jie Han, "HSPICE Macromodel of a Programmable Metallization Cell (PMC) and its Application to Memory Design," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'14), Paris, France, July 8-10, 2014. pp. 45-50. (pdf)

Wei Wei, Kazuteru Namba, Jie Han and Fabrizio Lombardi, "Design of a Non-Volatile 7T1R SRAM Cell for Instant-on Operation," IEEE Transactions on Nanotechnology, vol. 13, no. 5, pp. 905 - 916, 2014. (pdf)

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "A Memristor-Based Memory Cell with No Refresh," IEEE International Conference on Nanotechnology, Toronto, Canada, August 18-21, 2014. (pdf)

Ke Chen, Jie Han, and Fabrizio Lombardi, "On the non-volatile performance of Flip-Flop/SRAM cells with a single MTJ," IEEE Transactions on VLSI Systems, vol. 23, no. 6, pp. 1160 - 1164, 2015. (pdf)

Peican Zhu, Jinghang Liang and Jie Han, "Gene Perturbation and Intervention in Context-Sensitive Stochastic Boolean Networks," BMC Systems Biology 2014, 8:60. (Highly accessed!)

Peican Zhu and Jie Han, "Asynchronous Stochastic Boolean Networks as Gene Network Models," Journal of Computational Biology, 21(10): 760-770, October 2014. (pdf)

Jinghang Liang, Linbin Chen, Jie Han and Fabrizio Lombardi, "Design and Evaluation of Multiple Valued Logic Gates using Pseudo N-type Carbon Nanotube FETs," IEEE Transactions on Nanotechnology, vol. 13, no. 4, pp. 695 - 708, 2014. (pdf)

Cong Liu, Jie Han and Fabrizio Lombardi, "An Analytical Framework for Evaluating the Error Characteristics of Approximate Adders," IEEE Transactions on Computers, vol. 64, no. 5, pp. 1268 - 1281, 2015. (pdf)

Wei Wei, Jie Han and Fabrizio Lombardi, "Robust HSPICE Modeling of a Single Electron Turnstile," Microelectronics Journal (Elsevier), vol. 45, no. 4, pp. 394 - 407, April 2014. (pdf)

Amir Momeni, Jie Han, Paolo Montuschi and Fabrizio Lombardi, "Design and Analysis of Approximate Compressors for Multiplication," IEEE Transactions on Computers, vol. 64, no. 4, pp. 984 - 994, 2015. (pdf)

Peican Zhu, Jie Han, Leibo Liu and Ming J. Zuo, "A Stochastic Approach for the Analysis of Fault Trees with Priority AND Gates," IEEE Transactions on Reliability, vol. 63, no. 2, pp. 480 - 494, June 2014. (pdf)

Cong Liu, Jie Han and Fabrizio Lombardi, "A Low-Power, High-Performance Approximate Multiplier with Configurable Partial Error Recovery," Design, Automation & Test in Europe Conference (DATE 2014), Dresten, Germany, March 24 - 28, 2014. (pdf + complementary poster)

Pilin Junsangsri, Fabrizio Lombardi and Jie Han, "A Hybrid Non-Volatile SRAM Cell with Concurrent SEU Detection and Correction," Design, Automation & Test in Europe Conference (DATE 2014), Dresten, Germany, March 24 - 28, 2014. (pdf)

Peican Zhu and Jie Han, "Stochastic Multiple-Valued Gene Networks," IEEE Transactions on Biomedical Circuits and Systems, vol. 8, no. 1, pp. 42 - 53, 2014. (pdf)

2013

Hao Wu, Jie Han and Fabrizio Lombardi, "A PCM-based TCAM cell using NDR," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH'13) , New York City, USA, July 15-17, 2013. (pdf)

Zhixi Yang, Ajaypat Jain, Jinghang Liang, Jie Han and Fabrizio Lombardi, "Approximate XOR/XNOR-based Adders for Inexact Computing," IEEE International Conference on Nanotechnology, Beijing, China, August 5-8, 2013. (pdf)

Ke Chen, Jie Han and Fabrizio Lombardi, "Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells," IEEE International Conference on Nanotechnology, Beijing, China, August 5-8, 2013. (pdf)

Pilin Junsangsri, Jie Han and Fabrizio Lombardi, "On the Drift Behaviors of a Phase Change Memory (PCM) Cell," IEEE International Conference on Nanotechnology, Beijing, China, August 5-8, 2013. (pdf)

Jie Han and Michael Orshansky, "Approximate Computing: An Emerging Paradigm For Energy-Efficient Design," in ETS'13, Proceedings of the 18th IEEE European Test Symposium, Avignon, France, May 27-31, 2013. (pdf)

Yu Ren, Leibo Liu, Shouyi Yin, Jie Han, Qinghua Wu and Shaojun Wei, "A Fault Tolerant NoC Architecture Using Quad-Spare Mesh Topology and Dynamic Reconfiguration," Journal of Systems Architecture (Elsevier), Volume 59, Issue 7, Pages 482-491, August 2013. (pdf)

Yu Ren, Leibo Liu, Shouyi Yin, Qinghua Wu, Shaojun Wei and Jie Han, "A VLSI Architecture for Enhancing the Fault Tolerance of NoC using Quad-spare Mesh Topology and Dynamic Reconfiguration," in IEEE International Symposium on Circuits and Systems (ISCAS), Beijing, China, 2013.

Wei Wei, Jie Han and Fabrizio Lombardi, "Design and Evaluation of a Hybrid Memory Cell by Single-Electron Transfer," IEEE Transactions on Nanotechnology, vol. 12, no. 1, pp. 57-70, 2013. (pdf)

Jie Han, Hao Chen, Jinghang Liang, Peican Zhu, Zhixi Yang and Fabrizio Lombardi, "A Stochastic Computational Approach for Accurate and Efficient Reliability Evaluation," IEEE Transactions on Computers, vol. 63, no. 6, pp. 1336 - 1350, 2014. (pdf)

2012

J. Liang and J. Han, "Stochastic Boolean Networks: An Efficient Approach to Modeling Gene Regulatory Networks," BMC Systems Biology, 6:113, 2012. (Highly accessed!)

Jianping Gong, Jie Han, Yong-Bin Kim and Fabrizio Lombardi, "Hardening a Memory Cell for Low Power Operation by Gate Leakage Reduction," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2012), Austin, Texas, USA, pp. 73-78, 2012.

J. Liang, J. Han and F. Lombardi, "Analysis of Error Masking and Restoring Properties of Sequential Circuits," IEEE Transactions on Computers, vol. 62, no. 9, pp. 1694 - 1704, 2013. (pdf) (Featured on IEEE Computing Now and Transactions on Computers multimedia)

J. Liang, J. Han and F. Lombardi, "New Metrics for the Reliability of Approximate and Probabilistic Adders," IEEE Transactions on Computers, vol. 62, no. 9, pp. 1760 - 1771, 2013. (pdf)

J. Liang, L. Chen, J. Han and F. Lombardi, "Design and Reliability Analysis of Multiple Valued Logic Gates using Carbon Nanotube FETs," in IEEE/ACM International Symposium on Nanoscale Architectures, Amsterdam, The Netherlands, pp. 131-138, 2012. (pdf)

Pilin Junsangsri, J. Han and F. Lombardi, "Macromodeling a Phase Change Memory (PCM) Cell by HSPICE," in IEEE/ACM International Symposium on Nanoscale Architectures, Amsterdam, The Netherlands, pp. 77-84, 2012.

Vikas Sakode, J. Han and F. Lombardi, "Cell Design and Comparative Evaluation of a 1T Memristor-Based Memory," in IEEE/ACM International Symposium on Nanoscale Architectures, Amsterdam, The Netherlands, pp. 152-159, 2012.

W. Wei, J. Han and F. Lombardi, "Modeling a Single Electron Turnstile in HSPICE," in GLSVLSI'12, Proceedings of the 22th IEEE/ACM Great Lakes Symposium on VLSI, Salt Lake City, Utah, USA, pp. 221-226, 2012.

Jinghang Liang, Zhiyin Zhou, Jie Han and Duncan G. Elliott, "A 6.0-13.5 GHz Alias-Locked Loop Frequency Synthesizer in 130 nm CMOS," IEEE Transactions on Circuits and Systems I, vol. 60, no. 1, pp. 108-115, 2013. (pdf)

2011

J. Liang, J. Han and F. Lombardi, "On the Reliable Performance of Sequential Adders for Soft Computing," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, BC, Canada, pp. 3-10, 2011. (pdf)

H. Chen, J. Han and F. Lombardi, "A Transistor-Level Stochastic Approach for Evaluating the Reliabiltiy of Digital Nanometric CMOS Circuits," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, BC, Canada, pp. 60-67, 2011. (pdf)

N. Rajderkar, M. Ottavi, S. Pontarelli, J. Han and F. Lombardi, "On the Effects of Intra-Gate Resistive Open Defects in Gates at Nanoscale CMOS," in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, BC, Canada, 2011.

W. Wei, J. Han and F. Lombardi, "A Hybrid Memory Cell Using Single-Electron Transfer," in IEEE/ACM International Symposium on Nanoscale Architectures, San Diego, CA, USA, pp. 16-23, 2011.

X. Ma, M. Hashempour, J. Han and F. Lombardi, "Modeling errors in synthesized tile sets for template manufacturing by DNA self-assembly," in IEEE Conference on Nanotechnology (IEEE-Nano), Portland, Oregon, USA, pp. 1707-1712, 2011.

J. Han, E. Boykin, H. Chen, J. Liang and J. Fortes, "On the Reliability of Computational Structures using Majority Logic," IEEE Transactions on Nanotechnology, vol. 10, no. 5, pp. 1099-1112, September 2011. (pdf)

J. Han, H. Chen, E. Boykin and J. Fortes, "Reliability evaluation of logic circuits using probabilistic gate models," Microelectronics Reliability, vol. 51, no. 2, 2011, pp. 468-476. (pdf) (One of the most cited papers in this journal in the past five years by Google Metrics and Microelectronics Reliability)

2010

H. Chen and J. Han, "Stochastic computational models for accurate reliability evaluation of logic circuits," in GLSVLSI'10, Proceedings of the 20th IEEE/ACM Great Lakes Symposium on VLSI, Providence, Rhode Island, USA, pp. 61-66, 2010. (pdf)

Pre-2010

J. Han, J. Gao, Y. Qi, P. Jonker, J. Fortes, "Toward hardware-redundant, fault-tolerant logic for nanoelectronics," IEEE Design and Test of Computers, vol. 22, no. 4, pp. 328-339, July-August 2005. (pdf)

J. Han and P. Jonker, "A defect- and fault-tolerant architecture for nanocomputers," Nanotechnology, vol. 14, no. 2, pp. 224-230, 2003. (pdf)

J. Han and P. Jonker, "A system architecture solution for unreliable nanoelectronic devices," IEEE Trans. on Nanotechnology, vol. 1, no. 4, pp. 201-208, December 2002. (pdf)

E.R. Taylor, J. Han, J.A.B. Fortes, "An Investigation into the Maximum Tolerable Error Rate of Majority Gates for Reliable Computation," IEEE International Workshop on Defect and Fault Tolerant Nanoscale Architectures (NANOARCH), 2006.

E.R. Taylor, J. Han, J.A.B. Fortes, "Towards Accurate and Efficient Reliability Modeling of Nanoelectronic Circuits," in Proc. IEEE-NANO 2006, IEEE Conference on Nanotechnology, Vol. 1, 395-398.

J. Han, E.R. Taylor, J. Gao and J.A.B. Fortes, "Faults, Error Bounds and Reliability of Nanoelectronic Circuits," in Proc. IEEE ASAP 2005, IEEE 16th International Conference on Application-specific Systems, Architectures and Processors, 247-253.

J. Han, E.R. Taylor, J. Gao and J.A.B. Fortes, "Reliability Modeling of Nanoelectronic Circuits," in Proc. IEEE-NANO 2005, IEEE Conference on Nanotechnology, 104-107.

J. Han and P. Jonker, "From Massively Parallel Image Processors to Fault-Tolerant Nanocomputers," in Proc. 17th Int. Conf. on Pattern Recognition (ICPR17), 2004, Vol. 3, 2-7.

J. Han and P. Jonker, "A Study on Fault-Tolerant Circuits using Redundancy," in Proc. VLSI 2003, Multiconference in Computer Science and Engineering, 65-69.

J. Han and P. Jonker, "Quantum Cellular Nonlinear Networks using Josephson Circuits," in Proc. IEEE-NANO 2003, IEEE Conference on Nanotechnology, 457-460.

J. Han and P. Jonker, "On Quantum Computing with Macroscopic Josephson Qubits," in Proc. IEEE-NANO 2002, IEEE Conference on Nanotechnology, 305-308.

J. Han and P. Jonker, "Novel Computing Architectures on Arrays of Josephson Persistent Current Bits," in Proc. MSM 2002, Fifth International Conference on Modeling and Simulation of Microsystems, 636-639.

J. Han and P. Jonker, "A Fault-Tolerant Technique for Nanocomputers: NAND Multiplexing," in Proc. 8th Annual Conf. of the Advanced School for Computing and Imaging, 2002, 59-66.

P. Jonker and J. Han, "On Quantum and Classical Computing with Arrays of Superconducting Persistent Current Qubits," in Proc. CAMP2000, Fifth IEEE International Workshop on Computer Architectures for Machine Perception, 2000, 69-78.

Reports

M. Forshaw, D. Crawley, P.P. Jonker, J. Han, and C. Sotomayor Torres, "Nano_Arch_Review: A Review of the Status of Research and Training into Architectures for Nanoelectronic and Nanophotonic Systems in the European Research Area," EU 6th Framework Programme Report, University College, London, UK, 2004, July, 1-36.