-- EE 552 - Project -- Dustin Demontigny -- February 11, 2003 -- preset_decoder.vhd -- converts preset value to gain/coefficient values library ieee; use ieee.std_logic_1164.all; use work.smart_eq_pack.all; entity preset_decoder is port(preset_value: in std_logic_vector(bits-1 downto 0); gain_register : out std_logic_vector(gain_reg-1 downto 0)); end preset_decoder; architecture struct of preset_decoder is begin with preset_value select gain_register <= unity when "0000", -- base value daves when "0001", -- dave's preset dustins when "0010", -- dustin's preset unity when others; end struct;