-------------------------------------------------------------------------------- -- Package declaration for a barrel shifter that rotates its input data -- to the left by an arbitrary number of bits. -- -- $Id: barrel_shift_pkg.vhd,v 1.1 2003/03/25 20:32:41 leendert Exp $ -- library ieee; use ieee.std_logic_1164.all; package barrel_shift_pkg is component barrel_shift is generic (selectorWidth: positive := 4); -- 2**4 bit wide shifter port ( -- number of places to shift the input data numShifts: in std_logic_vector(selectorWidth-1 downto 0); -- input shift data shiftIn: in std_logic_vector(2**selectorWidth-1 downto 0); -- output shift data shiftOut: out std_logic_vector(2**selectorWidth-1 downto 0) ); end component barrel_shift; end package barrel_shift_pkg;