library ieee; use ieee.std_logic_1164.all; package sliptester_pkg is constant bytesize :positive := 8; -- constants first used for slip.vhd constant inCLKDivisor :positive := 82; -- = outCLKDivisor / 16 constant outCLKDivisor :positive :=1311; -- = 25,175,000/(2*9600 BAUD) constant RXCLKDivisor :positive :=13110; -- = outclkdivisor * 10 -- IMPORTANT!! This will be used for the slipbuffer clock from slip. -- constants first used for slipbuffer.vhd constant ram_data_width :positive :=bytesize; constant ram_address_width :positive :=9; constant address_zero:std_logic_vector(ram_address_width-1 downto 0):="000000000"; constant ram_data_size :positive :=512; -- ram_data_size = 2^(ram_address_width) -- slip character constants constant END_char: std_logic_vector(bytesize - 1 downto 0) := "11000000"; constant ESC_char: std_logic_vector(bytesize - 1 downto 0) := "11011011"; constant ESC_END_char: std_logic_vector(bytesize - 1 downto 0) := "11011100"; constant ESC_ESC_char: std_logic_vector(bytesize - 1 downto 0) := "11011101"; constant Nothing_Char: std_logic_vector(bytesize - 1 downto 0) := "00000000"; ----- end package sliptester_pkg;