------------------------------------------------------------- --This Entity puts together the VGA Driver and Glove Interface --uses Package hhg_vga --Entity Name vga_display.vhd LIBRARY IEEE; USE ieee.std_logic_1164.all; LIBRARY WORK; USE WORK.hhg_led.all; ENTITY led_display IS PORT( clock : in STD_LOGIC; reset : in std_logic; data_in : in std_logic_vector(4 downto 0); data_out : OUT std_logic_vector(4 downto 0); ps_enable : OUT std_logic; led_a : OUT std_logic_vector(7 downto 0); led_b : OUT std_logic_vector(7 downto 0) ); END ENTITY led_display; ARCHITECTURE structure OF led_DISPLAY IS Signal led_enable : std_logic; Signal Ascii_out : std_logic_vector(7 downto 0); BEGIN Data_receive: component Interface Port map(data_in,reset,clock,led_enable,ps_enable,data_out,Ascii_out); LED_LOAD : component hex_display port map(Ascii_out,led_enable,led_a,led_b); END structure;