------------------ -- Lcd package -- purpose: declare the components needed for the lcd.vhd --------------- library ieee; use ieee.std_logic_1164.all; package lcd_pkg is component lcddriver is port( clock: in std_logic; reset: in std_logic; enable: in std_logic; message: in std_logic_vector (3 downto 0); lcd_rw: out std_logic; lcd_enable: out std_logic; lcd_data: out std_logic_vector(7 downto 0); lcd_select: out std_logic); end component; component counter is generic (counter_size : positive := 4000); port( clock: in std_logic; resetn: in std_logic; outclock: out std_logic); end component; end lcd_pkg;