------------------ -- -- lcd -- purpose: the top level file that will take input and send the right -- character to the LCD for display ----------------- library ieee; use ieee.std_logic_1164.all; library work; use work.lcd_pkg.all; entity lcd is port( clock: in std_logic; reset: in std_logic; enable: in std_logic; lcd_data: out std_logic_vector( 7 downto 0 ); lcd_select: out std_logic; lcd_rw: out std_logic; lcd_enable: out std_logic; message: in std_logic_vector(3 downto 0)); end lcd; architecture Display of lcd is signal slowclock: std_logic; begin counter1: counter generic map (counter_size=>4000)-- slow the clock down port map( clock=>clock, resetn=>reset, outclock=>slowclock); lcddriver1:lcddriver port map( clock=> slowclock, reset=> reset, enable=>enable, lcd_data=>lcd_data, lcd_rw=>lcd_rw, lcd_enable=>lcd_enable, lcd_select=>lcd_select, message=>message); end Display;