------------------------------------------------------------------------------- -- -- EE 552 Project: Driver's Ed -- -- KeyTest Package -- -- Description: Contains all of the necessary components required to decode keypresses -- and output them to a seven segment LED display and outputs that -- will eventually be shown on a VGA monitor -- -- Author: Raymond Sung -- -- Compiled -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package keytest_pkg is component keydecode IS port map ( clk : IN STD_LOGIC; resetn : IN STD_LOGIC; row : IN STD_LOGIC_VECTOR(3 downto 0); col : OUT STD_LOGIC_VECTOR(3 downto 0); keyvalue_registered : OUT STD_LOGIC_VECTOR(3 downto 0); keyvalid : OUT STD_LOGIC ); end component keydecode; component led is port ( input: in std_logic_vector(3 downto 0); led_output: out std_logic_vector(6 downto 0) ); end component led; end package keytest_pkg;