------------------------------------------------------------------------------- -- -- EE 552 Project: Driver's Ed -- -- KeyDecode Package -- -- Description: Contains all of the necessary components required in the KeyDecode -- State Machine -- -- Author: Raymond Sung -- -- Compiled -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package keydecode_pkg is component async_counter IS generic (counter_size : positive := 4); PORT ( clock : IN STD_LOGIC; resetn : IN STD_LOGIC; -- active low reset output_count : OUT STD_LOGIC_VECTOR(counter_size-1 downto 0) ); END component async_counter; component registerN is generic(width : positive := 4); port ( d : in std_logic_vector(width-1 downto 0); load : in std_logic; clock : in std_logic; resetn : in std_logic; q : out std_logic_vector(width-1 downto 0) ); end component registerN; end package keydecode_pkg;