----------------------------------------------------------------------------------- -- Stream Cipher Package -- Author : Tam Paredes -- File Name : stream_cipher_pkg.vhd -- Description : Package file containing the stream cipher component declarations. ----------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package stream_cipher_pkg is component reg2_1 port(inputA : in std_logic; inputB : in std_logic; clock : in std_logic; reset : in std_logic; outputA: out std_logic; outputB: out std_logic ); end component reg2_1; component key_generator port(clock : in std_logic; reset : in std_logic; enable : in std_logic; output : out std_logic; valid : out std_logic ); end component key_generator; component mux2_1 port(inputA : in std_logic; inputB : in std_logic; sel : in std_logic; output : out std_logic ); end component mux2_1; constant degreeA: positive := 13; component shift_reg13 port(clock : in std_logic; reset : in std_logic; enable : in std_logic; output : out std_logic ); end component shift_reg13; constant degreeB: positive := 11; component shift_reg11 port(clock : in std_logic; reset : in std_logic; enable : in std_logic; output : out std_logic ); end component shift_reg11; constant degreeC: positive := 8; component shift_reg8 port(clock : in std_logic; reset : in std_logic; enable : in std_logic; output : out std_logic ); end component shift_reg8; end package stream_cipher_pkg;