----------------------------------------------------------------------------------- -- Serial to Parallel Stream Cipher Package -- Author : Tam Paredes -- File Name : p_out_stream_cipher_pkg.vhd -- Description : Package file containing the component declarations for the -- complete stream cipher. This includes the data converter -- for serial to parallel output and the original stream cipher. ----------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package p_out_stream_cipher_pkg is component stream_cipher port(clock : in std_logic; reset : in std_logic; enable : in std_logic; data_in : in std_logic; data_out: out std_logic; valid_stream: out std_logic ); end component stream_cipher; component s_to_p_data_conv is generic(bit_width: positive := 8); port(clock,reset : in std_logic; valid_in : in std_logic; -- Active high serial_in : in std_logic; valid_out : out std_logic; -- Active high parallel_out: out std_logic_vector(bit_width-1 downto 0) ); end component s_to_p_data_conv; end package p_out_stream_cipher_pkg;