-- file output_logic.vhd -- The is the top level code for the LCD Output library ieee; library work; use work.LCD_types.all; use ieee.std_logic_1164.all; -- Outputs all the data to an LCD entity output_logic is port ( clock : in std_logic; reset : in std_logic; screen : in std_logic; LCD_codes : out std_logic_vector(comm_bit -1 downto 0) ); end entity output_logic; architecture components of output_logic is -- Outputs all the data to an LCD component char_codes port ( screen: in std_logic; address: out std_logic_vector(addressbit-1 downto 0) ); end component char_codes; component LCDout port( clock : in std_logic; reset : in std_logic; address: in std_logic_vector(addressbit-1 downto 0); enable: out std_logic; command : out std_logic_vector(comm_bit -1 downto 0) ); end component LCDout; signal datalink: std_logic_vector(addressbit-1 downto 0); begin screen_choose:char_codes port map(screen => screen, address => datalink); lcd_command:LCDout port map(address => datalink, reset=> reset, clock=> clock, command=>LCD_codes(comm_bit-1 downto 1), enable=>LCD_codes(0)); end components;