-- file: char_codes -- The entity controls what the address outputs based on what the -- state of the screen is. library ieee; library work; use work.LCD_types.all; use ieee.std_logic_1164.all; -- Outputs all the address to an LCD entity char_codes is port ( screen: in std_logic; address: out std_logic_vector(addressbit-1 downto 0) ); end entity char_codes; architecture behaviour of char_codes is begin -- choose the address of the screen based of the input signal with screen select address <= "00000000" when '0', "00100000" when '1', "00000000" when others; end behaviour;