---------------------------------------------------------- -- musr_switch -- Author : Gautam Karnik -- Date : March 30, 2001 -- Filename : musr_switch.vhd -- Architecture : Behavioral -- Description : This module serves as the interface module -- for the dipswitches on the UP 1 Board -- and the back-end of the system ---------------------------------------------------------- ------------------------------------------------------------------------------- -- Mobile User Switch --------------------------------------------------------------------- -- package musr_switch -- Used to monitor the user switch input, output a number to indicate -- which user is activated. -- the switch output is set to '1' when the switch is open, and '0' when the -- switch is closed; switch up is 'open', switch down is 'close'. so when a -- user wants to connect, the corresponding switch should be 'up'. -- LEDs are active low -- Total logic cells used: 4/1152 ( 0%) --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; use work.CDMA_pkg.all; entity musr_switch is port ( usr1_switch : in std_logic; usr2_switch : in std_logic; usr1_LED : out std_logic; usr2_LED : out std_logic; usr1_active : out std_logic; usr2_active : out std_logic ); end musr_switch; architecture behavioral of musr_switch is begin -- with this implementation there is about a 12 ns delay to output. usr1_active <= usr1_switch; usr2_active <= usr2_switch; usr1_LED <= not usr1_switch; usr2_LED <= not usr2_switch; end behavioral;