--------------------------------------------------------------------- -- Base station Frame Builder -- Author : Ai Hua -- Date : March 30, 2001 -- Filename : base_frame_builder.vhd -- Architecture : Behavioral -- Description : This entity is just to register the frame built by -- frame builder. It's controlled by clock master. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; use work.CDMA_pkg.all; entity base_frame_builder is generic (frame_length : positive := 12); port ( resetn, clock, clk_en : in std_logic; frame_in : in std_logic_vector(frame_length-1 downto 0); frame_out : out std_logic_vector(frame_length-1 downto 0) ); end entity base_frame_builder; architecture behavioral of base_frame_builder is begin To_send_frame : myflipflops generic map (datawidth => frame_length) port map ( clk => clock, enable => clk_en, -- active high clearn => resetn, -- active low resetn d => frame_in, q => frame_out ); end behavioral;