------------------------------------------- -- EE552 Automatic Volume Control -- Noise Out -- Created Mar 10, 2000 by Travis Robinson ------------------------------------------- -- Computes the output noise figure based -- on the integration factor ------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity noise_out is --integrator_in is 25 bits long --out_noise is 8 bits long port(reset,clock:in std_logic; integrator_in: in std_logic_vector(24 downto 0); out_noise:out std_logic_vector(7 downto 0) ); end entity; architecture noise of noise_out is begin process(clock) --variable noise_var: std_logic_vector(out_bitsize-1 downto 0); begin if rising_edge(clock) then if reset='0' then out_noise<=(others=>'0'); else out_noise(7 downto 0)<= integrator_in( 24 downto 17); end if; end if; end process; end architecture;