library ieee; use ieee.std_logic_1164.all; package LCD_types is -- Bits of each character constant datasize:positive:=8; -- Number of characters per screen constant num_char:positive:=32; constant databit:positive:=8; -- Set the period of the clock (set at one for testing) constant period:positive:=8; -- Bits of the Betting Factor constant factor_bit:positive:=4; -- Set the time needed for each function (set small for testing) constant initialset:positive:=40;--500000/period; constant cleartime:positive:=20;--250000/period; constant hometime:positive:=20;--250000/period; constant functime:positive:=20;--8000/period; constant writetime:positive:=20;--8000/period; constant enabletime:positive:=3;--10000/period; constant keypad_bits:integer:=7; -- The # of bits from the keypad constant LED_bits:integer:=7; -- The # of bits for the LED constant number_bits:integer:=4; -- The # of bits for the keypad number constant card_bits:integer:=4; -- The # of bits for a card constant action_bits:integer:=2; -- The # of bits for a action constant cardtotal_bits:integer:=4; -- The # of bits of a cardtotal -- Action Codes constant hitcode:std_logic_vector(action_bits-1 downto 0) := "01"; constant staycode:std_logic_vector(action_bits-1 downto 0) := "00"; constant splitcode:std_logic_vector(action_bits-1 downto 0) := "11"; constant doublecode:std_logic_vector(action_bits-1 downto 0) := "10"; constant addressbit:positive:=8; -- # of bits in the screen address constant comm_bit:positive:=11; -- # of bits of a command -- Adresss of screens constant dealscr:std_logic_vector(addressbit-1 downto 0):="00000000"; constant splitscr:std_logic_vector(addressbit-1 downto 0):="00100000"; constant pstayscr:std_logic_vector(addressbit-1 downto 0):="11000000"; constant nstayscr:std_logic_vector(addressbit-1 downto 0):="11100000"; constant phitscr:std_logic_vector(addressbit-1 downto 0):="10000000"; constant nhitscr:std_logic_vector(addressbit-1 downto 0):="10100000"; constant pdoublescr:std_logic_vector(addressbit-1 downto 0):="01000000"; constant ndoublescr:std_logic_vector(addressbit-1 downto 0):="01100000"; -- ASCII codes for the betting factors constant num1:std_logic_vector(databit-1 downto 0):="00110001"; constant num2:std_logic_vector(databit-1 downto 0):="00110010"; constant num3:std_logic_vector(databit-1 downto 0):="00110011"; constant num4:std_logic_vector(databit-1 downto 0):="00110100"; constant num5:std_logic_vector(databit-1 downto 0):="00110101"; constant num6:std_logic_vector(databit-1 downto 0):="00110110"; constant num7:std_logic_vector(databit-1 downto 0):="00110111"; constant num8:std_logic_vector(databit-1 downto 0):="00111000"; constant num9:std_logic_vector(databit-1 downto 0):="00111001"; constant num0:std_logic_vector(databit-1 downto 0):="00110000"; constant unknown:std_logic_vector(databit-1 downto 0):="01011000"; end package LCD_types;