---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- The Marienbad Game -- EE 552 Project -- Group Members: Koziar, Kory -- McDermott, Ashley -- Stangeland, Duane -- -- File : Project.vhd ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- Project.vhd is the top level design. -- This file is the main interface for the inputs and the outputs for the -- system. It has as inputs, the clock, pushbutton1, data from from the -- mouse. The outputs are for the the display, they are red, green, blue, -- horizontal sync and vertical sync. ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- My_Constants is a package create by the design team that is used for -- variables that are required multiple times that are constants. ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; package My_Constants is constant Row0 : std_logic_vector(3 downto 0) := "0000"; -- First row constant LastY : std_logic_vector(3 downto 0) := "1110"; -- Last row constant LastX : std_logic_vector(5 downto 0) := "100101"; -- Last Column end my_constants; ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- The entity project is the main interface between the altera board -- and the rest of the VHDL code. It has three inputs and five -- outputs described below. ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- library ieee; use IEEE.STD_LOGIC_1164.ALL; entity Project is port( signal PB1 : in std_logic; -- Push Button 1, pin #28 signal PB2 : in std_logic; -- Push Button 2, pin #29 signal Clock : in std_logic; -- System clock, pin #91 signal MouseData : in std_logic; -- Mouse data, pin #31 signal Red : out std_logic; -- VGA red, pin #236 signal Green : out std_logic; -- VGA green, pin #237 signal Blue : out std_logic; -- VGA blue, pin #237 signal Horiz_sync : out std_logic; -- Horiz signal, pin #240 signal Vert_sync : out std_logic -- Vert signal, pin #239 ); end Project; ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- architecture behavior of Project is ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- The component display is the link between the project file and the -- file Display.vhd. Which links the rest of the VHDL files. ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- component Display port( signal PB1 : in std_logic; -- Push Button 1 signal PB2 : in std_logic; -- Push Button 2 signal Clock : in std_logic; -- System clock signal MouseData : in std_logic; -- Mouse data signal Red : out std_logic; -- VGA red signal Green : out std_logic; -- VGA green signal Blue : out std_logic; -- VGA blue signal Horiz_sync : out std_logic; -- Horizontal sync signal signal Vert_sync : out std_logic -- Vertical sync signal ); end component; ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- begin ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- Main_Port is linking the signals from the project to the display. ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- Main_Port : Display port map( Clock => Clock, PB1 => PB1, PB2 => PB2, MouseData => MouseData, Red => Red, Green => Green, Blue => Blue, Horiz_sync => Horiz_sync, Vert_sync => Vert_sync ); ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- end behavior;