------------------------------------------------------------------------

-- File: direction.vhd

--

-- Group: Korrey Scott 237118

-- Milton Mah 341428

-- Mike Holden 346386

--

-- Course: EE 552

-- Project: ASM

-- Instructor: Dr. Duncan Elliott

-- Completed: Feb. 21, 1998

------------------------------------------------------------------------

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

------------------------------------------------------------------------

-- Entity: direction

--

-- Inputs: direct1: 3 bit vector giving the heading of the vehicle

-- according to the following:

-- 000 - North

-- 001 - NorthEast

-- 010 - East

-- 011 - SouthEast

-- 100 - South

-- 101 - SouthWest

-- 110 - West

-- 111 - NorthWest

--

-- Outputs: direct2: a 3 bit vector specifying the heading of the vehicle

------------------------------------------------------------------------

entity direction is

port( direct1:in std_logic_vector(2 downto 0);

direct2:out std_logic_vector(2 downto 0)

);

end direction;

------------------------------------------------------------------------

-- Architecture: reading of direction

--

-- Description: The purpose of this module is to read in the heading

-- of the automobile

------------------------------------------------------------------------

architecture reading of direction is

begin

compass:process(direct1)

begin

direct2 <= direct1;

end process compass;

end reading;