-- by Dan Kotylak -- part of the digital self regulating humidifier library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; entity subtractor is port (A,B: in std_logic_vector(9 downto 0); data_out: out std_logic_vector(9 downto 0) ); end subtractor; architecture behaviour of subtractor is begin data_out<=A-B; end behaviour;