Entity | Comb. Modules(modules) | Area (modules) | Longest Path(ns) |
---|---|---|---|
temperature comparator | 13 | 15 | 48.7 |
clock | 94 | 168 | 95.6 |
decoder 3(temperature) | 22 | 22 | 77.8 |
7-segment selector 1 | 75 | 92 | 57.4 |
decoder 2(minute) | 35 | 35 | 106.1 |
7-segment selector 2(temperature) | 26 | 41 | 29.2 |
temperature controller | 53 | 63 | 117.8 |
decoder 1(hour) | 19 | 19 | 70.6 |
overall | 367 | 48.5 | 205.6 |
Pin Name | Type | Function |
---|---|---|
reset | in (pust button) | Resets and initializes the system. |
clock | in | System clock. |
up | in (push button) | Push button for incrementing desired temperature. |
down | in (push button) | Push button for decrementing desired temperature. |
pgm1 | in (four switches) | Sets the desired temperature at 7:00 pm. |
pgm2 | in (four switches) | Sets the desired temperature at 7:00 pm. |
ctemp | in (five pins) | Receives the current temperature. |
furnace | out | Turns on or turn off the furnace. |
time_segment | out (7 pins) | Outputs time to 7-segment. |
time_segment | out (7 pins) | Outputs temperature to 7-segment. |
time_sel | out (4 pins) | Selects which of the four digits of time to display. |
time_sel | out (2 pins) | Selects which of the two digits of temperature to display |
port(end inc_time;clock, reset: in std_logic;);
check1, check2 : out std_logic;
min_out : out std_logic_vector(5 downto 0);
hr_out : out std_logic_vector(4 downto 0)
port(end temp_disp;clock, reset : in std_logic; digit1 : in std_logic_vector(1 downto 0); digit0 : in std_logic_vector(4 downto 0); segment : out std_logic_vector(6 downto 0); sel : out std_logic_vector(1 downto 0));
port (end tdecoder;input: in std_logic_vector(4 downto 0); tenth: out std_logic_vector(1 downto 0); one: out std_logic_vector(4 downto 0));
port (end hdecoder;input: in std_logic_vector(4 downto 0); tenth: out std_logic_vector(1 downto 0); one: out std_logic_vector(4 downto 0));
port (end mdecoder;input: in std_logic_vector(5 downto 0); tenth: out std_logic_vector(2 downto 0); one: out std_logic_vector(5 downto 0));
port(end time_disp;clock, reset : in std_logic; digit3 : in std_logic_vector(1 downto 0); digit2 : in std_logic_vector(4 downto 0); digit1 : in std_logic_vector(2 downto 0); digit0 : in std_logic_vector(5 downto 0); segment : out std_logic_vector(6 downto 0); sel : out std_logic_vector(3 downto 0));
port(end set_temp;clock, up, down, reset : in std_logic; chk1, chk2 : in std_logic; prg1, prg2 : in std_logic_vector(3 downto 0); dtemp : out std_logic_vector(4 downto 0));
port(end comp_temp;clock, reset : in std_logic; dtemp, ctemp : in std_logic_vector(4 downto 0); furnace : out std_logic);
port(end thermostat;clock, reset : in std_logic; up, down : in std_logic; pgm1, pgm2 : in std_logic_vector(3 downto 0); ctemp : in std_logic_vector(4 downto 0); furnace : out std_logic; time_segment : out std_logic_vector(6 downto 0); temp_segment : out std_logic_vector(6 downto 0); time_sel : out std_logic_vector(3 downto 0); temp_sel : out std_logic_vector(1 downto 0));
port(end LM75;clock, enable :in std_logic; SDA :inout std_logic; SCL :out std_logic; ctemp :out std_logic_vector(4 downto 0));
Longest Path | Comb. | Area | flatten | effort | map style | max fanout |
---|---|---|---|---|---|---|
58.9 | 69 | 86 | no | high | area | 16 |
164.1/td> | 298 | 315 | no | low | area | 16 |
164.1 | 298 | 315 | no | low | NoOpt | 16 |
164.1 | 298 | 315 | yes | low | NoOpt | 16 |
58.9 | 69 | 86 | yes | high | NoOpt | 16 |
55.8 | 75 | 92 | yes | high | NoOpt | 8 |
55.8 | 75 | 92 | yes | med | NoOpt | 8 |
47.5 | 80 | 97 | yes | med | Speed | 8 |
47.0 | 71 | 88 | yes | med | Speed | 24 |
Longest Path | Comb. | Area | effort | map style |
---|---|---|---|---|
47.6 | 71 | 88 | high | upoptimized |
50.7 | 68 | 85 | high | Area |
45.4 | 76 | 93 | high | Speed |
59.4 | 69 | 86 | high | upoptimized |
50.7 | 68 | 85 | high | Area |
44.6 | 76 | 93 | high | Speed |
inputs | Full Name
/clock | system clock |
/reset | reset button |
/up | up button |
/down | down button |
/pgm1 | dtemp1(temperature of program 1) |
/pgm2 | dtemp2(temperature of program 2) |
/ctemp | current temperature(input) |
|
---|
outputs | Full Name
/time_segment | 7-bit word displayed on 7-segment (for time) |
/time_sel | selects which of the four digits of time on 7-segment to display |
/time_segment | 7-bit word displayed on 7-segment (for desired temperature) |
/time_sel | selects which of the two digits of temperature on 7-segment to display |
/furnace | furnace turns on when high, turns off when low. |
|
---|